Dan Nystedt Profile
Dan Nystedt

@dnystedt

20,861
Followers
989
Following
229
Media
17,902
Statuses

Former journalist, now financial analyst. Based in Taipei. Tweet mainly about semiconductors and Taiwan. Not investment advice. Views are my own.

Hong Kong and Taipei, Taiwan
Joined June 2009
Don't wanna be here? Send us removal request.
Pinned Tweet
@dnystedt
Dan Nystedt
17 days
TSMC to 2-nanometers and Beyond AMD CTO Mark Papermaster sat down with TSMC Co-COO Y.J. Mii to ask: ‘‘Have we run into the fundamental limits of physics or will innovation prevail again?” (Can we keep shrinking transistors on chips?) Thread 1/10 $TSM $AMD #semiconductors
8
71
400
@dnystedt
Dan Nystedt
8 months
Rumor: Microsoft has reportedly started cutting orders for Nvidia H100 chips as hype over ChatGPT fades and worse than expected subscriptions for Microsoft 365 Copilot, media report, saying the rumor tanked AI server related shares in Taipei on Tuesday. $MSFT $NVDA…
99
343
2K
@dnystedt
Dan Nystedt
5 months
Nvidia received a stern warning from US Commerce Secretary Raimondo on China export controls, media report: “If you redesign a chip around a particular cut line that enables them to do AI, I’m going to control it the very next day,” she said, in a speech. 1/3 $NVDA…
100
376
2K
@dnystedt
Dan Nystedt
1 year
Intel CEO: It was a mistake to allow the chip industry to become too dependent on Asia, and it will take decades to fix, WSJ reports, adding the pandemic showed "we had allowed ourselves to become dependent on single points of failure in the supply chain." $INTC #semiconductor
43
186
892
@dnystedt
Dan Nystedt
2 years
Taiwan is considering a ban on exports of semiconductors and other technology products to Russia in light of the Ukraine situation, media report, and in line with a Biden administration list of export sanctions.
8
153
829
@dnystedt
Dan Nystedt
1 month
TSMC plans to begin pilot production at its 1st Arizona, USA fab by mid-April in preparation for mass production by the end of 2024 – ahead of schedule, media report, citing industry sources. TSMC originally said mass production would begin in the 1st half of 2025. TSMC is quoted…
16
114
729
@dnystedt
Dan Nystedt
2 years
US Senator Richard Blumenthal disclosed that an investment fund managed by his wife’s family bought between US$250,000 and $500,000 of Intel Corp. stock just weeks before he voted to approve US$52 billion in semiconductor industry subsidies, media report. $INTC #semiconductors
47
167
597
@dnystedt
Dan Nystedt
2 years
CNBC "How quickly do you think we can get to a place where we are independent of the need for chip manufacturing in Taiwan?” Intel CEO “Never.” CNBC “Never?” PG “Never. ...What we're saying is we need more balanced geographic supply chains." $INTC $TSM
30
152
580
@dnystedt
Dan Nystedt
1 year
Warren Buffett: TSMC "is one of the best-managed companies and important companies in the world," ... "I don’t like its location and reevaluated that, ... [but] there's no one in the chip industry that's in their league, at least in my view." $TSM 1/2
11
101
579
@dnystedt
Dan Nystedt
2 months
Google, Intel and Qualcomm are part of a coalition of tech companies trying to loosen Nvidia’s chokehold on AI chips by preparing an alternative to its CUDA software by year-end, Reuters reports, adding Intel’s OneAPI software is key to the effort by the open-source UXL…
12
110
569
@dnystedt
Dan Nystedt
2 years
The TSMC Supremacy When Nvidia CEO Jensen Huang unveiled the H100 data center GPU last week (3/22), he said it was made with TSMC’s 4-nanometer process. He also said Nvidia is talking to Intel as a new potential foundry partner. But he warned: Thread: 1/17 $TSM $INTC $NVDA
15
103
540
@dnystedt
Dan Nystedt
3 years
Tech Industry Analysis: Legendary investor Stan Druckenmiller tells a story of turning in his first report as a young analyst, very proud of his fundamental research, charts and analysis. “This is useless,” said his boss. “What makes the stock go up and down?” Thread 1/14
6
83
535
@dnystedt
Dan Nystedt
3 months
TSMC’s 4th quarter investors' conference was a treasure trove of information about the company and its prospects for 2024. Key takeaways: 1/15 $TSM #semiconductors #semiconductor
9
108
517
@dnystedt
Dan Nystedt
1 month
TSMC’s Top 7 Customers in 2023, media report, citing unnamed investors 1. Apple 25% of revenue 2. Nvidia 11% 3. AMD 7% 4. Qualcomm 7% 5. MediaTek 5% 6. Broadcom 5% 7. Intel 4% Others: 36% 1/2 $TSM $AAPL $NVDA $AMD $QCOM $AVGO $INTC
6
110
472
@dnystedt
Dan Nystedt
5 months
2/2 She urged Silicon Valley executives, US allies, others, to stop China from getting semiconductors and cutting-edge technologies vital to US national security, calling Beijing "the biggest threat we've ever had" and stressed "China is not our friend".
6
51
454
@dnystedt
Dan Nystedt
2 years
TechInsights on China chip foundry SMIC's 7-nanometer process: 1. SMIC's 7nm process is true 7nm. This is real. 2. "it is a notable achievement for SMIC to take only two years to reach 7nm without access to the most advanced western equipment & technologies." 1/3 $TSM $SMICY
8
96
438
@dnystedt
Dan Nystedt
8 months
There are no US chips inside the new Huawei Mate 60 Pro smartphone, and its network speed is far faster than 5G, even in weak signal areas like elevators, leading Japan teardown specialist Fomalhaut Techno Solutions to believe Huawei’s claim that it really is 5.5G, media report.…
17
124
442
@dnystedt
Dan Nystedt
3 months
Nvidia has slashed delivery times for its AI chips (GPUs) to 3-4 months from 8-11 months previously, media report, citing UBS, and noting Nvidia has worked hard to ease bottlenecks and lift output across its supply chain. Server maker, Quanta, said AI server shipments could rise…
14
86
443
@dnystedt
Dan Nystedt
3 months
TSMC founder Morris Chang said there is huge demand for additional AI-related semiconductor capacity, Nikkei reports, as during talks with executives at AI chip firms, “they are not talking about tens of thousands of wafers. They are talking about fabs, [saying] 'We need so many…
18
104
438
@dnystedt
Dan Nystedt
2 years
The chief technology officer of ASML said high-NA may be the end of the line for lithography. "For years, I’ve been suspecting that high-NA will be the last NA, and this belief hasn’t changed.” said Martin van den Brink, in an interview with Bits & Chips. 1/9 Thread $ASML
11
71
417
@dnystedt
Dan Nystedt
2 months
South Korean conglomerates Samsung, LG, and SK, have suspended USA construction projects amid soaring costs and subsidy uncertainties ahead of the presidential election, media report, including Samsung’s US$17 billion chip fab in Taylor, Texas, which might require as much as $8…
30
132
420
@dnystedt
Dan Nystedt
5 months
3/3 She also said her department needs more funding for AI export controls. "Every day China wakes up trying to figure out how to do an end run around our export controls ... which means every minute of every day, we have to wake up tightening those controls and being more…
9
43
397
@dnystedt
Dan Nystedt
4 months
Rumor: Nvidia has turned to Intel for advanced packaging of its top AI chips in a bid to ease the shortage, media report, with production to begin in the 2nd quarter, at 5,000 wafers per month. Until now, the bottleneck has been ramping up packaging, TSMC CoWoS, held back by an…
8
69
356
@dnystedt
Dan Nystedt
1 year
TSMC Arizona has turned into a Chinese Communist Party (CCP, China) talking point, with scary stories daily. Example: “The U.S. is turning TSMC (Taiwan Semiconductor Manufacturing Co) into ASMC (American SMC)," and no Taiwan politician dares say no to the U.S. Thread 1/9 $TSM
19
94
352
@dnystedt
Dan Nystedt
3 months
In 2023, TSMC became the world’s biggest #semiconductor maker by revenue, topping Intel and Samsung Electronics for the 1st time. 2023 Revenue in US dollars TSMC: $69.30 billion Intel: $54.23 billion Samsung (chip division): $50.99 billion Thread 1/9 $TSM $INTC #Samsung
Tweet media one
14
86
346
@dnystedt
Dan Nystedt
5 months
SemiAnalysis: ASML’s next generation semiconductor equipment, high-NA EUV lithography machines, may not be necessary until 1nm mass production, around 2031, because current EUV machines can be used in a way that costs less and works better. $ASML $TSM #Samsung $INTC…
9
60
337
@dnystedt
Dan Nystedt
28 days
TrendForce: TSMC will boost CoWoS packaging capacity 150% to 40,000 wafers per month by the end of 2024 for Nvidia’s Blackwell platform, while capacity in 2025 could nearly double. Shipments of the GB200 “could exceed millions of units by 2025.” The GB200 and B100 both use more…
5
58
337
@dnystedt
Dan Nystedt
2 years
TSMC is raising prices for all clients, including Apple and Nvidia, media report, citing TSMC customers. On Jan. 1, 2023, the price of 8-inch wafers will rise 6%, with 12-inch up 3%-5%. Wafer starts in the 4th quarter (now) already use the new prices. 1/3 $TSM $AAPL $NVDA
10
87
323
@dnystedt
Dan Nystedt
3 months
Nvidia became TSMC’s 2nd biggest customer last year, as the AI chip maker paid NT$241.15 billion (US$7.73 billion) for TSMC’s chip manufacturing services and accounted for 11% of net revenue. 1/3 $NVDA $AAPL $TSM #semiconductors
6
54
323
@dnystedt
Dan Nystedt
1 month
Google Cloud does not plan to offer AMD AI chips, The Information reports, meaning 2 of the 3 major US cloud providers remain unconvinced AMD’s offerings are a good alternative to Nvidia’s GPUs. It will offer its own TPUs and Nvidia GPUs to customers. $GOOGL $AMD $NVDA…
24
73
328
@dnystedt
Dan Nystedt
1 month
The shortage of Nvidia GPUs may finally be ending, media report, citing the head of Dell Taiwan, Terence Liao (廖仁祥), who reportedly said Dell’s delivery times for AI servers has returned to a normal 8-12 weeks from over 40 weeks after the GPU supply notably improved in…
11
74
326
@dnystedt
Dan Nystedt
1 month
Elon Musk said a lack of advanced chips was hampering the training of his AI company’s Grok version 2, media report. He said training Grok 2 took about 20,000 Nvidia H100 GPUs, and that Grok 3 and beyond will require 100,000 Nvidia H100 chips. Though chips are constraint now, he…
14
70
320
@dnystedt
Dan Nystedt
2 months
TSMC is in talks to build CoWoS advanced packaging capacity in Japan, Reuters reports, which would make Japan the only place outside Taiwan with TSMC CoWoS capacity. Japan is seen as a good location for advanced packaging due to its strength in semiconductor materials and…
4
60
312
@dnystedt
Dan Nystedt
4 months
Rumor: TSMC will likely choose Osaka, Japan for a 3nm fab and Chiayi, Taiwan for a CoWoS advanced packaging plant in 2024, media report, while Japan could become a global hub for TSMC as it already operates 2 design centers there (Osaka & Yokohama), a 3DIC R&D center (packaging)…
3
96
311
@dnystedt
Dan Nystedt
1 year
Chip War author Chris Miller: "There's 50 years of U.S.-Taiwan relations before Taiwan became a player in semiconductors. I think it is wrong and historically inaccurate to think that the reason the U.S. is supporting Taiwan is #semiconductors ."
11
79
306
@dnystedt
Dan Nystedt
8 months
China is seeking more South Korean #semiconductor engineers via headhunting agencies, offering signing bonuses of CNY3-5 million yuan (US$410,000 - $683,300), and other perks, media report, including housing subsidies and luxury items, such as diamonds, designer bags and cars.…
24
109
294
@dnystedt
Dan Nystedt
20 days
TSMC does not need ASML’s new High NA EUV lithography machines for its next generation A16 process technology, Reuters reports, citing TSMC Senior VP Kevin Zhang, who also said TSMC developed the new A16 process faster than expected due to demand from AI chip makers. 1/3 $TSM…
7
67
306
@dnystedt
Dan Nystedt
26 days
China’s spy agencies have targeted the Dutch semiconductor, aerospace and maritime industries to try to strengthen China’s armed forces, Dutch military intelligence said, Reuters reports, noting China universities play a key role as researchers who work with western companies…
7
94
298
@dnystedt
Dan Nystedt
27 days
TSMC’s net profit rose 8.9% year-on-year to NT$225.5 billion (US$7.17 billion) in the 1st quarter Beat consensus' NT$217.2 billion (US$6.71 billion) Revenue fell 3.8% to US$18.87 billion, but beat guidance. Thread 1/x $TSM #semiconductors #semiconductor
7
65
294
@dnystedt
Dan Nystedt
4 months
TSMC’s 3nm advantage: The average price TSMC was able to sell a finished 12-inch wafer for hit US$6,611 in the 4th quarter of 2023, up from $5,384 in Q4 2022, despite a big drop in shipments (2.96 million wafers versus 3.70 million). 1/2 $TSM #semiconductors
Tweet media one
5
65
274
@dnystedt
Dan Nystedt
2 months
TSMC accounts for 12% of the world’s advanced semiconductor capacity, far less than the 90% figure that often gets tossed around, market researcher TechInsights reports. IF you take out memory chips, and only look at logic chips, then TSMC accounts for 64% of advanced logic…
8
49
289
@dnystedt
Dan Nystedt
2 months
South Korea researchers have developed a neuromorphic accelerator chip able to run ChatGPT 2 in 0.4 seconds with a single chip using 400 milliwatts of power, just 1/625 the power required by an Nvidia A100 GPU, media report, noting the same task took multiple A100s. The research
14
73
284
@dnystedt
Dan Nystedt
1 year
Taiwan raided 8 suspected China fronts in Taiwan trying to steal business secrets by hiring R&D for database management software, memory modules, chip design, more, media report. Officers detained 48 people last week, saying a big problem is once a firm is investigated, employees…
13
70
282
@dnystedt
Dan Nystedt
1 year
China firm Powerleader’s ‘home grown’ chip is suspected of being an Intel processor in disguise, as test site Geekbench found Powerleader's Powerstar P3-01105 CPUs identical to Intel's Core i3-10105 Comet Lake CPU, media report. 1/2 $INTC
17
72
271
@dnystedt
Dan Nystedt
21 days
TSMC is entering the Angstrom Age with A14 production process “TSMC started development and made good progress on 14 Angstrom (A14) technology, which aims to further improve speed, power, density and cost,” TSMC says in its 2023 Annual Report Thread 1/20 $TSM $ASML $NVDA…
3
45
276
@dnystedt
Dan Nystedt
6 months
TSMC is said to be planning a 3rd fab each for the US and Japan, with more in the future, and a 2nd in Germany, media report, citing unnamed supply chain sources and speculation. The US could see fabs 3 and 4 in future as officials push to onshore the most advanced TSMC fabs, 2nm…
9
52
269
@dnystedt
Dan Nystedt
4 months
The Nvidia vs AMD AI chip war will see one clear winner this year, TSMC, which is manufacturing top chips from both firms, media report, saying TSMC’s output of their chips will hit 1 million to 1.5 million, made using TSMC 5nm and 3nm processes. Analysts estimate Nvidia will…
17
62
273
@dnystedt
Dan Nystedt
6 months
Intel has reportedly outsourced its first CPU ever, as its Lunar Lake-MX CPU, GPU and I/O chip will be manufactured by TSMC on 3nm (N3B) process technology, media report, citing unnamed industry sources. Production will begin in the 1st half of next year. $TSM $INTC…
16
44
267
@dnystedt
Dan Nystedt
2 years
TSMC founder Morris Chang told CBS's 60-Minutes that if China invades Taiwan, TSMC will be destroyed. "If there is a war, it will be destroyed. Everything will be destroyed," he said. Nice report by CBS, shows how inspired Taiwanese are by Ukraine. $TSM
7
64
257
@dnystedt
Dan Nystedt
1 month
TSMC raised its US investment to US$65 billion for 3 fabs in Arizona, with the 2nd fab using 2nm process technology instead of 3nm and the 3rd fab at 2nm or better, it said. The US proposed direct funding of $6.6 billion and up to $5 billion in loans for the investments. Each of…
16
59
266
@dnystedt
Dan Nystedt
14 days
Tesla’s next generation Dojo AI training accelerator ‘chip’ is already in production at TSMC, in a form that is likely to become a bigger part of processors made for AI data centers: full silicon wafer-sized processors, called System-on-Wafer, Spectrum reports, adding TSMC in…
7
57
264
@dnystedt
Dan Nystedt
2 months
At least five TSMC suppliers have delayed or are rethinking construction projects in Arizona due to surging material & labor costs, a shortage of construction workers, and delays by TSMC and Intel, Nikkei reports, saying trouble at multiple firms shows rebuilding the US…
17
51
255
@dnystedt
Dan Nystedt
4 months
Nvidia CEO Jensen Huang is in Taipei to take part in Chinese New Year festivities at local Nvidia offices and enjoy night market food, media report, with one report saying he will visit TSMC to secure production capacity for Nvidia B100 and H200 GPUs for the 2nd quarter of 2024.…
8
38
252
@dnystedt
Dan Nystedt
1 month
TSMC is expected to raise its 2024 capex to US$30-$34 billion from its current guidance, $28-$32 billion, media report, citing foreign investors, who say TSMC could this year break its capex record of US$36.3 billion (2022) as customers wait up to 6-months for advanced capacity…
2
48
254
@dnystedt
Dan Nystedt
6 months
Semiconductor production machine makers are getting more sales than ever from China, as local firms stockpile equipment in an effort to stay ahead of US export controls, Bloomberg reports. The world’s biggest chip equipment vendors all saw 40% or more of sales from China in the…
Tweet media one
7
68
253
@dnystedt
Dan Nystedt
2 months
Micron’s announcement to begin supplying HBM3E memory chips for Nvidia’s H200 GPUs in the 2nd quarter, alongside SK Hynix, and ahead of Samsung, caught the South Korean giants by surprise, media report, as Micron barely held 10% of the HBM market last year. Micron’s HBM…
3
45
251
@dnystedt
Dan Nystedt
1 year
TSMC's top 10 customers, including MediaTek, AMD, Nvidia, have cut orders as industry weakness finally hits the foundry giant, DigiTimes reports. TSMC's fab utilization rate is expected to collapse in the 1st quarter, as revenue falls 15% vs Q4 and inventories pile up. $TSM 1/2
7
52
247
@dnystedt
Dan Nystedt
2 years
A rumor TSMC may shut down 4 EUV machines was upheld by JP Morgan in a note, media say, that slowing global demand, new US rules on Nvidia, AMD GPU sales to China, plus order cuts by big clients; TSMC N7/N6 utilization will fall below 90% in 2023, capex fall to $36 billion. $TSM
11
62
247
@dnystedt
Dan Nystedt
3 months
ASML has taken orders for 10 to 20 of its next-generation, US$350 million High NA EUV lithography machines so far, including from SK Hynix and Micron, Reuters reports, adding ASML is building the capacity to deliver 20 annually by 2028. The machines enable chip makers to shrink…
9
47
247
@dnystedt
Dan Nystedt
8 months
Supply chains: Malaysia is winning back #semiconductor investments as Intel, Infineon, others pile in in a way not seen since the 1970s, Nikkei reports, add Intel plans to invest US$7 billion on 2 chip packaging plants and Infineon is building a $7 billion SiC chip fab, as…
1
118
249
@dnystedt
Dan Nystedt
2 months
Nvidia CEO Jensen Huang told Stanford University students that resilience in the face of pain and suffering is also a key ingredient for success in life, media report, and that the downside to an easy life is an inability to overcome problems. “People with very high expectations…
9
39
246
@dnystedt
Dan Nystedt
2 months
Nvidia will continue to fill TSMC 3nm, 4nm production lines as it rolls out new chips, media report, with the H200 to ship in the 2nd quarter, made on TSMC 4nm, and the B100 rumored to be gearing up for production on 3nm now so that TSMC production lines for both processes are…
4
43
244
@dnystedt
Dan Nystedt
3 months
ASML took over as the world’s No. 1 chip equipment maker by Revenue in 2023, beating Applied Materials, the leader for decades. ASML: €27.56 billion euro ($29.83 billion) Applied: €24.50 billion euro ($26.52 billion) $ASML $AMAT #semiconductors
Tweet media one
6
43
243
@dnystedt
Dan Nystedt
9 months
Nvidia will at least triple the production of its top H100 AI processor in 2024 to 1.5mn to 2mn H100s, a massive jump from the 500,000 expected this year, the Financial Times reports, noting the AI processors are already sold out into 2024. $NVDA $TSM
4
59
242
@dnystedt
Dan Nystedt
1 month
Fortune: 'TSMC shrugs off Taiwan’s biggest earthquake in 25 years, showing its massive chip foundry mega-complexes are nearly quake-proof'. It’s not TSMC’s 1st temblor. Prior quakes prompted TSMC to find ways protect fabs so that 70% of tools recovered within 10-hours of the…
7
48
241
@dnystedt
Dan Nystedt
1 year
Taiwan engineers that helped build China's semiconductor industry are returning home, The New York Times reports: some due to Covid19 lockdowns, some to avoid being seen as a traitor in a new Cold War, some to be back in a free land. $TSM $SMICY $UMC #semiconductor 1/3 Thread
10
64
234
@dnystedt
Dan Nystedt
8 months
Nvidia, AMD, Amazon rush orders have TSMC scrambling to buy CoWoS chip packaging machinery 30% over existing orders, highlighting the ongoing AI boom, media report. TSMC had planned to expand CoWoS capacity to 15-20,000 wafers per month in the 1st half-2024, but the new machinery…
11
58
236
@dnystedt
Dan Nystedt
4 months
Rumor: Apple, Intel, others, are competing for 2nm capacity at TSMC amid heavy demand, media report, saying Apple has reserved TSMC’s initial 2nm capacity in 2025 for its iPhone chips, but Intel wants some for its Nova Lake platform. Media cite unnamed industry sources for the…
10
41
233
@dnystedt
Dan Nystedt
3 months
Rumor: Apple may raise 3nm orders 50% to TSMC this year as it boosts specs on iPads, MacBooks and iPhones for AI, and puts AI computing cores in next generation M4 and A18 processors, media report, adding Apple will also reserve a big amount of advanced packaging, including InFO…
5
48
233
@dnystedt
Dan Nystedt
1 year
Intel Foundry is struggling, as Qualcomm, Tesla explored having Intel make their chips, then backed off, WSJ reports: Tesla as Intel didn't offer design services other foundries have; Qualcomm after technical missteps by Intel. 1/2 $INTC $TSM #Samsung
5
53
232
@dnystedt
Dan Nystedt
8 months
Rumor: TSMC will team up with Nvidia, Broadcom to co-develop silicon photonics to boost data transmission speeds for #AI ,and should win orders as early as 2nd-half 2024, media report, add TSMC has already formed a 200-person R&D team. $NVDA $TSM $AVGO #semiconductors
6
38
231
@dnystedt
Dan Nystedt
2 months
Powerchip Chair Frank Huang said the India semiconductor fab joint venture with Tata Group came at the request of Taiwan President Tsai, who wants Taiwan to lead chip efforts with India, a fellow democracy, media report. The JV will break ground March 12, with a 70% subsidy from…
7
71
227
@dnystedt
Dan Nystedt
3 months
TSMC’s 5nm and 3nm production lines are nearly fully loaded and 2nm progress has been better than expected, with risk production seen in the 4th quarter, media report, citing unnamed supply chain sources, who put 3nm (N3B, N3E) utilization rates at 95%, and 1st quarter capacity…
2
43
230
@dnystedt
Dan Nystedt
6 months
TSMC Chairman Mark Liu said the world’s semiconductor industry faces a slowdown in innovation due to the US government’s ‘AI Sanctions’ (export curbs) on chip technology to China, media report, as China chip makers are forced to focus on R&D that’s old hat elsewhere, while…
11
55
228
@dnystedt
Dan Nystedt
1 month
TSMC's monthly revenue in March +34.3% year-on-year to NT$195.21 billion. 1st Quarter revenue +16.5% to NT$592.64 billion, beating guidance. TSMC did not announce Q1 revenue, but it did provide Jan.-March combined monthly revenue. Thread 1/2 $TSM $AAPL $NVDA $QCOM $AMD $AVGO…
3
39
230
@dnystedt
Dan Nystedt
6 months
Nvidia swooped in and took the 3rd quarter chip industry revenue crown, beating out TSMC, Intel and Samsung as the generative AI trend continues to strengthen. Nvidia could win 2nd place in full-year chip revenue in 2023, beating Intel and Samsung, while TSMC remains on track to…
6
45
225
@dnystedt
Dan Nystedt
1 month
Nvidia has hiked prices of GPUs for graphics cards used in gaming by 10%, media report, citing unnamed supply chain sources and adding that when Nvidia launched the RTX 3050 graphics card in Feb., there were already rumors of planned price hikes. Heavy demand in China underpins…
7
60
227
@dnystedt
Dan Nystedt
2 months
Two overseas TSMC fab projects show big differences in government approaches to handling such investments, with a fab in Japan finished in 2-years, while a USA fab remains delayed, the WSJ reports, noting Tokyo moved fast to provide funding and clear regulatory hurdles, while…
12
43
225
@dnystedt
Dan Nystedt
2 months
Ex-Google chip designers have launched startup MatX to develop AI chips specifically for LLMs, Bloomberg reports. “Inside of Google, there were lots of people who wanted changes to the chips for all sorts of things, and it was difficult to focus just on LLMs. We chose to leave…
7
44
228
@dnystedt
Dan Nystedt
2 months
Apple’s pivot to AI will likely trigger a new wave of AI server purchases, media report, citing analysts, and noting supply chain partner, Foxconn, has already shipped AI servers to Apple that are now undergoing testing. Foxconn is expected to expand server output in Vietnam,…
9
37
222
@dnystedt
Dan Nystedt
2 months
The Netherlands is scrambling to keep ASML from moving to another country or expanding abroad, an effort code-named ‘Operation Beethoven’, Reuters reports, adding Minister Micky Adriaansens was set to meet with ASML CEO Peter Wennink as part of ongoing talks. "I don't know if…
7
56
219
@dnystedt
Dan Nystedt
1 month
The Netherlands looks set to comply with US demands that ASML stop servicing some semiconductor equipment it sold to China customers, as the Dutch government sees China's support for Russia in Ukraine as a serious security issue, Reuters reports. $ASML #semiconductors
15
51
221
@dnystedt
Dan Nystedt
3 months
TSMC and SK Hynix have formed an ‘AI semiconductor alliance’ to pool their advanced packaging capabilities for AI chips, media report, citing the head of the Korea Semiconductor Industry Association (KSIA). The two chip giants will kick off with SK Hynix’s next generation HBM4…
4
63
220
@dnystedt
Dan Nystedt
4 months
Nvidia CEO Jensen Huang met with TSMC CEO C.C. Wei and other Taiwan partners to boost capacity amid very strong demand for Nvidia chips, media report, saying Taiwan is vital to the AI industry. Huang is in Taipei for Chinese New Year party for 1300 Nvidia employees. He also dined…
5
35
215
@dnystedt
Dan Nystedt
4 months
Nvidia faces a new problem in China as cloud companies such as Alibaba, Tencent, don’t want its revamped AI chips, downgraded to meet US export rules on China, the WSJ reports, citing unnamed sources. “…they plan to order far fewer of its chips this year than they had originally…
10
51
217
@dnystedt
Dan Nystedt
4 months
“War over Taiwan would have a cost in blood and treasure so vast that even those unhappiest with the status quo have reason not to risk it,” Bloomberg reports, with “the price tag at around US$10 trillion, equal to about 10% of global GDP – dwarfing the blow from the war in…
13
51
215
@dnystedt
Dan Nystedt
2 months
China leader Xi told visiting Dutch Prime Minister Rutte that, “…no force can stop the pace of China’s scientific and technological development and progress,” as they discussed restrictions on exports of semiconductor equipment from Dutch giant ASML, and a potential denial of…
16
39
212
@dnystedt
Dan Nystedt
2 years
Rumor: A big TSMC client cut 3nm orders, so output will be lower than planned, about 10,000 wafers/month, media report, causing a domino effect in which TSMC cut capex, then cut materials orders 40%-50% (recycled wafers, more). $TSM
13
41
211
@dnystedt
Dan Nystedt
1 year
TSMC is forming a complete #semiconductor ecosystem in Japan, media report, with advanced manufacturing (Kumamoto), a 3DIC R&D center in Ibaraki and IC design support centers in Yokohama and Osaka, media report, and plans for a 2nd Kumamoto fab after the 1st is fully loaded. $TSM
6
54
211
@dnystedt
Dan Nystedt
7 months
AMD CEO Lisa Su wants to dethrone Nvidia as AI chip leader, and while AMD’s new MI300 GPU line is good, it needs to win over fans of Nvidia’s software for building AI models, CUDA, Fortune reports. One AI firm, Lamini, says AMD’s ROCm software has already reached “parity” with…
12
30
209
@dnystedt
Dan Nystedt
1 year
Taiwan has asked the US to tone down China invasion rhetoric & the dangers of relying on TSMC chips, media report, as investors appear to be listening. Warren Buffett cited geopolitical concerns as one reason for the sale of 86% of its TSMC holdings late last year. $TSM…
11
54
202
@dnystedt
Dan Nystedt
1 year
TSMC Arizona has won 4nm chip production orders from Tesla, with volume production set for 2024, media report, citing unnamed industry sources. $TSM $TSLA
6
35
205
@dnystedt
Dan Nystedt
2 years
TSMC's 3-nanometer process, N3, will be in mass production in September, with over 1,000 wafer starts per month in the 4th quarter, media report, citing equipment vendors. Yields are expected to be better than the start of TSMC's 5nm process, N5. 1/2 $TSM
4
51
201
@dnystedt
Dan Nystedt
3 months
ASML said the semiconductor market has “reached the lowest point of the dip and although we cannot predict the exact nature of the slope ahead, the recovery is nascent,” in its 2023 annual report, Bloomberg reports, noting ASML’s sales are dominated by 3 companies: TSMC, Samsung,…
8
39
205
@dnystedt
Dan Nystedt
5 months
The price for a 2nm finished silicon wafer will cost US$30,000, up 50% from 3nm wafers, $20,000, media report, citing International Business Strategies, amid rising costs for advanced semiconductors. Costs are going up because fab costs are higher, $28 billion for a 50,000…
6
56
203
@dnystedt
Dan Nystedt
3 months
The price of HBM (high bandwidth memory) chips has skyrocketed to over 5-times the price of conventional DRAM memory chips amid surging demand from AI chipmakers such as Nvidia and AMD, media report, citing the Yole Group, which says SK Hynix and Samsung dominate 90% of the HBM…
5
48
205
@dnystedt
Dan Nystedt
3 months
Samsung Electronics is pooling money for a major acquisition, media report, noting it sold all remaining shares of chip equipment giant ASML as part of efforts to raise cash, which stands at ₩91.8 trillion won (US$69 billion) including funds at overseas subsidiaries. Last year,…
12
24
204
@dnystedt
Dan Nystedt
8 months
TSMC is taking an increasingly optimistic view of Japan as a production base as frustration with Arizona grows over difficulties recruiting workers and union troubles, Reuters reports, citing 2 unnamed sources, and noting TSMC may add capacity and build a 2nd fab in Japan. $TSM…
5
42
201
@dnystedt
Dan Nystedt
2 months
Nvidia, TSMC, Synopsys are using Nvidia graphics processing units (GPUs), the cuLitho software library, and AI to transform a key step in semiconductor manufacturing (lithography) and cut production time, power use, costs, more. Thread 1/6 $NVDA $TSM $SNPS #semiconductors
3
42
205